新しいものを表示


loopback

Learn Zynq(25) UART poll (poll) mode example
programmersought.com/article/9

pynq libraryでなく、Cの実装

XUARTPS_OPER_MODE_LOCAL_LOOP
を指定


PYNQ-Z2で自作高位合成IPで音声処理をするまで
kamiya.tech/blog/pynq-dsp/

kamiyaowl


uart cycle project based on Xilinx zynq-7020 Z-turn board
youtube.com/watch?v=3Rkhg3IzNG

二つのAXI UartLiteを連結
tx<->rx
rx<->tx


PYNQ > parthpower / axi_uartlite_pynq を試す > Analog Discovery 2で確認 (3.3V TTLレベル)
qiita.com/7of9/items/e5fca2791


PYNQの制約ファイル
PYNQ_Z1_C.xdc
にPMODのピンがあった

忘れる


PYNQ単体でUIを表示してみる(PYNQまつり)
slideshare.net/ciniml/pynquipy

フレームバッファドライバの作成


Pynqでカメラ画像をリアルタイムfastx コーナー検出
slideshare.net/marsee101/pynqf

marsee101さん

古いものを表示
Fedibird

様々な目的に使える、日本の汎用マストドンサーバーです。安定した利用環境と、多数の独自機能を提供しています。