新しいものを表示


2020-07-11 PYNQ > HDMI出力で線を引く (pynq.lib.video Moduleのwriteframe()使用 + frameのRGB値を変更)
qiita.com/7of9/items/21c528ec9


HDMI FrameBuffer Example Design
xilinx-wiki.atlassian.net/wiki
v2019.2, v2019.1 ... v2017.3
各バージョンごとのリンク

zipファイル申請でFAEの入力などが必要


HDCP
High-bandwidth Digital Content Protection
e-words.jp/w/HDCP.html

> 著作権で保護された映像コンテンツが表示装置へ伝送される間に不正にコピーされるのを防止する暗号化技術


AR♯ 61625
Video IP サンプル デザイン トップ ページ
japan.xilinx.com/support/answe

AXI VDMA
Framebuffer Read
Framebuffer Write
などのサンプルデザイン


Best CPU/RAM recommendation for Vivado (Logic and High-level Synthesis)
forums.xilinx.com/t5/General-T

> So you better get the CPU with the fastest single core performance.

cpubenchmark.net/singleThread.

> with i7 4790K:54 minutes. On i9 7900X: 41 minutes.

コア数を減らして、高速なCPUがFPGA開発向きのようだ


Why do I need to run "Create HDL Wrapper..."
centennialsoftwaresolutions.co

> This post lists why a Vivado IP integrator a block diagram must be wrapped in an HDL wrapper, short answer: "because a BD (block design) cannot be synthesized directly."

boards - board specific source code, including the Vivado project sources for the base overlay

スレッドを表示

- axis_fb_conf_v1.0 (Pre-Production)
- Video IO to HDMI TMDS Interface v1.0 (Beta)

ZynqBerry向けの独自IP coreのようだ

スレッドを表示


ZynqBerry用Block Design
vidoe_out用のパッケージ?内のIP

- AXI Video Direct Memory Access
- Clocking Wizard
- axis_fb_conv_v1.0 (Pre-Production)
- Video Timing Controller
- AXI4-Stream to Video Out
- AXI Interconnect
- Video IO to HDMI TMDS Interface v1.0 (Beta)

古いものを表示
Fedibird

様々な目的に使える、日本の汎用マストドンサーバーです。安定した利用環境と、多数の独自機能を提供しています。