新しいものを表示

Qiita記事
PYNQ-Z1 > SystemVerilog | UART > ボタン押下時にシリアルで0x41 ("A")を送信する (Tutorialの実行)
qiita.com/7of9/items/3efc82963

acri.c.titech.ac.jp/wordpress/

Run simulationでクロックが入ってない
=>
Block DesignでFCLK_CLK0とM_AXI_GP0_ACLKを接続する

追加したSystemVerilogファイルの場所

sources_1 > new

acri.c.titech.ac.jp/wordpress/

> Vivado では、回路は Design Source(s) として、テストベンチは Simulation Source(s) として、それぞれプロジェクトに追加します。Simulation Source(s) として追加したファイルは、論理合成では利用されず、シミュレーションでのみ利用されます。

VivadoではAdd or create simulation sourcesを選択するようだ


acri.c.titech.ac.jp/wordpress/

> クロック入力とシリアル出力は、それぞれ発振器と USB-シリアル変換 LSI に接続されているピンを指定します。

スレッドを表示


シリアル通信で Hello, FPGA (1)
acri.c.titech.ac.jp/wordpress/

言語はSystemVerilog

Artyを使った実例でUART送信している


ZYBO / IP > Clock Divider > IP作成したClock Dividerを使ってFCL_CLK0(100MHz)を1Hzに分周してみた
qiita.com/7of9/items/0687d2cff


Creating a new hardware design for PYNQ
discuss.pynq.io/t/tutorial-cre

VivadoにPYNQ-Z1のボードファイルを設定する方法
が記載されている


discuss.pynq.io/t/tutorial-usi

> If the Hwh file is included, the Tcl files is not needed by PYNQ, but both files are included in this example for completeness - the Tcl could be used to rebuild the Vivado block diagram.


PYNQ-Z1のOverlay読み込みとPythonからのFPGA PLの制御
todotani.cocolog-nifty.com/blo

ローレベルの実装
MMIOで上位の実装ができないだろうか

pynq.readthedocs.io/en/v2.5/_m

> The Pmod AD2 (PB 200-217) is an analog-to-digital converter powered by
> AD7991. Users may configure up to 4 conversion channels at 12 bits of
> resolution.


2020-06-07 PYNQ-Z1 > Pmod_ADCを試した > Pmod_ADC(2)でエラー > ライブラリがpynq.iopからpynq.libに変更されて、使い方も変わった
qiita.com/7of9/items/d36666a8e

古いものを表示
Fedibird

様々な目的に使える、日本の汎用マストドンサーバーです。安定した利用環境と、多数の独自機能を提供しています。